前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >虚拟时钟

虚拟时钟

作者头像
瓜大三哥
发布2018-02-24 17:52:24
1.4K0
发布2018-02-24 17:52:24
举报
文章被收录于专栏:瓜大三哥瓜大三哥

只是用于IO的时序约束

使用情况:上游芯片的时钟和FPGA的Primary的时钟频率不同

外部有clock buffer时,也需要使用虚拟时钟

代码语言:js
复制
create_clock -name sysclk -period 10 [get_ports clkin]
create_clock -name vclk -period 2    //虚拟时钟不会绑定任何端口
set_input_delay 6 -clock clk [get_ports dina]
set_input_delay 6 -clock vclk [get_ports dinb]
代码语言:js
复制

 create_clock -name sysclk -period 10 [get_ports clkin]
create_clock -name virtclk -period 10
set_clock_latency -source 1 [get_clkock ain] //source,表示创建源端latency
set_input_delay -clock virtclk -max [get_ports ain]
set_input_delay -clock virtclk -min [get_ports ain]
本文参与?腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-12-25,如有侵权请联系?cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与?腾讯云自媒体分享计划? ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
http://www.vxiaotou.com