首页
学习
活动
专区
工具
TVP
发布
精选内容/技术社群/优惠产品,尽在小程序
立即前往

从ISSCC2018看数字体系结构和系统的发展趋势

本文节选自《IEEESolid-StateCircuitsMagazine》,volume10,issue1:Through the Looking Glass - The 2018 Edition: Trends inSolid-StateCircuitsfrom the 65th ISSCC。作者为ISSCC2018“Digital Systems: Digital Architectures and Systems”分论坛主席Byeong-Gyu Nam。

主流桌面/服务器产品

高端处理器芯片的主流趋势依然是增加单片处理器核心数目和提高片上存储器容量。在今年的大会上,Intel推出了一颗针对服务器领域的芯片,采用了14nm工艺,集成了28个处理器核心;同时,片上核间互联采用了2维Mesh网络。

同时,多芯片模组(MCMs,Multichip Modules)也作为一个新兴的技术趋势,在芯片设计工艺发展迟缓的今天,为芯片性能和设计复杂度的持续提升提供动力。例如,这次会议上,AMD就推出了一款包括8x86核心的处理器SoC,并且可以针对服务器市场或者桌面市场,组成1-4的多芯片模组。该芯片采用14nm FinFET工艺,晶体管个数超过48亿。

同时,处理器主频的提升已经进入瓶颈期,很难再通过提升处理器工作频率的方法来提升性能。因此在这次大会上,IBM提出了新一代处理器Z14,相比前代产品,L2 Cache增加了50%,L3 Cache增加了一倍,核心数目则增加了1/4,主频则提高到5.2GHz(相比前代产品提升了200MHz),在微体系结构方面则通过新型分支预测算法,Cache管理方式等来提高处理器的能效比。

移动端产品

移动端的处理器性能尽管逐年增加,然而今年也到了一个发展的停滞阶段。相比较于去年,今年主流工艺依然停留在10nm,核心数目8也与去年保持一致.

最大的变化就是众多厂商开始在终端处理器芯片中集成加速神经网络计算的协处理器,例如华为的麒麟970等。增加专用的神经网络加速器单元,使得终端处理器芯片相对于前代产品,在处理机器学习相关任务的时候具有更高的能效比。目前主流的终端处理器芯片均采用了CPUs+GPUs+NNPU的异构处理模型。

新一代无线通信技术也是目前的热点,特别是5G通信,短距离传输等,不论是无线通信还是有线通信,每隔5年,传输带宽都将获得10倍的提升。毫米波通信和Massive MIMO依然是5G的研究热点;物联网设备的扩张,也进一步促进了窄带通信技术的发展。

深度学习

深度学习依然2018年的ISSCC大会最为热门的话题。相比较于去年大多数论文都在讨论卷积神经网络的实现问题,今年则更加关注两个问题:其一,如果更高效地实现卷积神经网络,特别是针对手持终端等设备;其二,则是关于全连接的非卷积神经网络,如RNN和LSTM等。

同时,为了获得更高的能效比,越来越多的研究者把精力放在了低精度神经网络的设计和实现,如1bit的神经网络。这些新型技术,使得深度学习加速器的能效比从去年的几十TOPS/W提升到了今年的上百TOPS/W。有些研究者也对数字+模拟的混合信号处理实现方案进行了研究。对数据存取具有较高要求的全连接网络,有些研究者则借助3-D封装技术来获得更好的性能。

ISSCC2018深度学习相关的研究成果

[HW][ISSCC]009-A Shift Towards Edge Machine-Learning Processing,O. Temam, Google, Paris, France.

[HW][ISSCC]010-QUEST: A 7.49TOPS Multi-Purpose Log-Quantized DNN Inference Engine Stacked on 96MB 3D SRAM Using Inductive-Coupling Technology in 40nm CMOS;K. Ueyoshi1, K. Ando1, K. Hirose1, S. Takamaeda-Yamazaki1, J. Kadomoto2, T. Miyata2,M. Hamada2, T. Kuroda2, M. Motomura1;1Hokkaido University, Sapporo, Japan;2Keio University, Yokohama, Japan

[HW][ISSCC]011-UNPU: A 50.6TOPS/W Unified Deep Neural Network Accelerator with 1b-to-16b Fully-Variable Weight Bit-Precision,J. Lee, C. Kim, S. Kang, D. Shin, S. Kim, H-J. Yoo, KAIST, Daejeon, Korea

[HW][ISSCC]012-A 9.02mW CNN-Stereo-Based Real-Time 3D Hand-Gesture Recognition Processor for Smart Mobile Devices,S. Choi, J. Lee, K. Lee, H-J. Yoo, KAIST, Daejeon, Korea

[HW][ISSCC]013-An Always-On 3.8μJ/86% CIFAR-10 Mixed-Signal Binary CNN Processor with All Memory on Chip in 28nm CMOSD. Bankman1, L. Yang1, B. Moons2, M. Verhelst2, B. Murmann1;1Stanford University, Stanford, CA;2KU Leuven, Leuven, Belgium

[HW][ISSCC]014-A 1μW Voice Activity Detector Using Analog Feature Extraction and Digital Deep Neural Network,M. Yang, C-H. Yeh, Y. Zhou, J. P. Cerqueira, A. A. Lazar, M. Seok,Columbia University, New York, NY

[HW][ISSCC]015-Conv-RAM: An Energy-Efficient SRAM with Embedded Convolution Computation for Low-Power CNN-Based Machine Learning Applications,A. Biswas, A. P. Chandrakasan;Massachusetts Institute of Technology, Cambridge, MA

[HW][ISSCC]016-A 42pJ/Decision 3.12TOPS/W Robust In-Memory Machine Learning Classifier with On-Chip Training,S. K. Gonugondla, M. Kang, N. Shanbhag,University of Illinois, Urbana-Champaign, IL

[HW][ISSCC]017-Brain-Inspired Computing Exploiting Carbon Nanotube FETs and Resistive RAM: Hyperdimensional Computing Case Study,T. F. Wu1, H. Li1, P-C. Huang2, A. Rahimi2, J. M. Rabaey2, P. Wong1, M. M. Shulaker3, S. Mitra1;1Stanford University, Stanford, CA;2University of California, Berkeley, Berkeley, CA;3Massachusetts Institute of Technology, Cambridge, MA

[HW][ISSCC]018-A 65nm 1Mb Nonvolatile Computing-in-Memory ReRAM Macro with Sub-16ns Multiply-and-Accumulate for Binary DNN AI Edge Processors;W-H. Chen, K-X. Li, W-Y. Lin, K-H. Hsu, P-Y. Li, C-H. Yang, C-X. Xue, E-Y. Yang, Y-K. Chen,Y-S. Chang, T-H. Hsu, Y-C. King, C-J. Lin, R-S. Liu, C-C. Hsieh, K-T. Tang, M-F. Chang;National Tsing Hua University, Hsinchu, Taiwan

[HW][ISSCC]019-A 65nm 4Kb Algorithm-Dependent Computing-in-Memory SRAM Unit-Macro with 2.3ns and 55.8TOPS/W Fully Parallel Product-Sum Operation for Binary DNN Edge Processors;W-S. Khwa1,2, J-J. Chen1, J-F. Li1, X. Si3, E-Y. Yang1, X. Sun4, R. Liu4, P-Y. Chen4, Q. Li3,S. Yu4, M-F. Chang1;1National Tsing Hua University, Hsinchu, Taiwan;2TSMC, Hsinchu, Taiwan;3University of Electronic Science and Technology of China, Sichuan, China;4Arizona State University, Tempe, AZ

关于神经网络芯片,《促进新一代人工智能产业发展三年行动计划(2018-2020年)》这样描述:面向机器学习训练应用,发展高性能、高扩展性、低功耗的云端神经网络芯片,面向终端应用发展适用于机器学习计算的低功耗、高性能的终端神经网络芯片,发展与神经网络芯片配套的编译器、驱动软件、开发环境等产业化支撑工具。到2020年,神经网络芯片技术取得突破进展,推出性能达到128TFLOPS(16位浮点)、能效比超过1TFLOPS/w的云端神经网络芯片,推出能效比超过1T OPS/w(以16位浮点为基准)的终端神经网络芯片,支持卷积神经网络(CNN)、递归神经网络(RNN)、长短期记忆网络(LSTM)等一种或几种主流神经网络算法;在智能终端、自动驾驶、智能安防、智能家居等重点领域实现神经网络芯片的规模化商用。

欢迎讨论交流

研究领域包括集成电路、无线通信等,涉及深度学习加速器、SoC设计空间探索、多核任务调度和新一代无线通信系统实现;具有65nm、40nm成功流片经验,在研项目包括28nm、16nm等。

中国科学院自动化研究所国家专用集成设计工程技术研究中心

  • 发表于:
  • 原文链接http://kuaibao.qq.com/s/20180307A04Z2E00?refer=cp_1026
  • 腾讯「腾讯云开发者社区」是腾讯内容开放平台帐号(企鹅号)传播渠道之一,根据《腾讯内容开放平台服务协议》转载发布内容。
  • 如有侵权,请联系 cloudcommunity@tencent.com 删除。

相关快讯

扫码

添加站长 进交流群

领取专属 10元无门槛券

私享最新 技术干货

扫码加入开发者社群
领券
http://www.vxiaotou.com