前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >设置输入延时约束

设置输入延时约束

作者头像
瓜大三哥
发布2018-02-24 17:54:00
2.3K0
发布2018-02-24 17:54:00
举报
文章被收录于专栏:瓜大三哥瓜大三哥

这是一个经典的时序分析模型,上游芯片将时钟发送给FPGA,对于内部同步元件之间的可以使用create_clock进行约束,对于外部芯片发送数据通过FPGA端口到达FPGA内部第一级接受触发器使用set_input_delay进行约束,对于FPGA的末级触发器通过端口到达下游芯片使用set_output_delay进行约束。输入端口到输出端口的组合逻辑使用set_max_delay进行约束。

图中trace_delay指的是板级延迟,输入延迟指的是上游芯片的时钟沿发出数据到FPGA接收到数据所需的时间input_delay。

Input_delay = Tco(clock output) + TD(trace delay)

最大延迟可以构建建立时间约束,最小延迟可以构建保持时间约束。

DDR的约束:在上升沿和下降沿都进行数据采样

代码语言:js
复制
set_input_delay 1 -min -clock clk [get_ports data_in]
set_input_delay 2 -max -clock clk [get_ports data_in]
set_input_delay 1 -min -clock clk [get_ports data_in] -clock_fall -add_delay(防止语句覆盖掉前面的语句,需要加add_delay)
set_input_delay 2 -max -clock clk [get_ports data_in] -clock_fall -add_delay
代码语言:js
复制
set tco_max 2,0
set td_max 3.0
set tco_min 0.0
set td_min 0.0
create_clock -name sysclk -period 10 [get_ports clkin]  //创建系统时钟
set_input_delay -clock sysclk -max [expr {$tco_max+$td_max}] [get_points din]
set_input_delay -clock sysclk -min [expr {$tco_min+$td_min}] [get_points din]
本文参与?腾讯云自媒体分享计划,分享自微信公众号。
原始发表:2016-12-23,如有侵权请联系?cloudcommunity@tencent.com 删除

本文分享自 瓜大三哥 微信公众号,前往查看

如有侵权,请联系 cloudcommunity@tencent.com 删除。

本文参与?腾讯云自媒体分享计划? ,欢迎热爱写作的你一起参与!

评论
登录后参与评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
http://www.vxiaotou.com