前往小程序,Get更优阅读体验!
立即前往
首页
学习
活动
专区
工具
TVP
发布
社区首页 >专栏 >基于51单片机的DS18B20数字式温度计开发,论文,仿真,代码等

基于51单片机的DS18B20数字式温度计开发,论文,仿真,代码等

原创
作者头像
蒋宇智
发布2024-04-22 12:42:58
1730
发布2024-04-22 12:42:58

设计要求

1.测量温度值精度为±1℃;

2.系统允许的误差范围为1℃以内;

3.系统可由用户预设温度值,测温范围为-55℃~+125℃;

4.通过DS18B20传感器和系统连接,能实时准确检测到现场温度;

5.把现场实时检测到的温度值通过LCD1602液晶显示出来;

6.报警温度设定和报警:根据需要可以设置报警温度,并且当达到报警温度时会发出报警提示;

系统概述

本系统采用一种改进型温度传感器DS18B20作为检测元件,温度范围为-55~125?C,最高分辨率可达0.0625?C。DS18B20可以直接读出被测温度值,而且采用三线制与单片机相连,减少了外部的硬件电路,具有低成本和易使用的特点。

本设计是一种基于AT89C52单片机的温度监控系统仿真设计,系统采用DS18B20作为温度监测元件,测量范围-55℃-~+125℃,使用LCD1602液晶模块显示测取的温度值以及设定的温度上下限值,按键模块用来设置温度报警上下限。若当前获取的温度不在设定温度上下限范围内时,蜂鸣器报警,LED指示灯点亮,指示当前报警信息。

系统由4个部分组成,它们是AT89C52单片机最小系统(包括复位电路和晶振电路)、DS18B20采温模块、LCD显示模块、按键设置模块和报警模块,其设计框架如下。

Proteus仿真电路

原理图

仿真结果分析

当前DS18B20采集到的温度为15℃,低于温度下限16℃时,蓝色LED低温报警指示灯点亮,蜂鸣器鸣叫。

C代码

资源内容

(1)基于DS18B20的数字温度监控系统Proteus仿真毕设论文完整版;

(2)Proteus仿真文件;

(3)Keil C语言程序文件;

(4)原理图文件;

(5)参考文献资料;

(6)Visio流程图文件;

资源截图

原创声明:本文系作者授权腾讯云开发者社区发表,未经许可,不得转载。

如有侵权,请联系 cloudcommunity@tencent.com 删除。

原创声明:本文系作者授权腾讯云开发者社区发表,未经许可,不得转载。

如有侵权,请联系 cloudcommunity@tencent.com 删除。

评论
作者已关闭评论
0 条评论
热度
最新
推荐阅读
领券
问题归档专栏文章快讯文章归档关键词归档开发者手册归档开发者手册 Section 归档
http://www.vxiaotou.com